X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/ff2b4c9dcaa802e67d12d7b0f84baf0bb2f971dc..30066e0689c34c97bcc69d8fe0e5d8ac53b1296c:/Terminal.v diff --git a/Terminal.v b/Terminal.v index 9dc5a21..89b19d5 100644 --- a/Terminal.v +++ b/Terminal.v @@ -26,8 +26,9 @@ module Terminal( cp_ack = 1; end end - +`ifdef verilator always @(posedge clk) if (towrite) $c("{extern void term_output(unsigned char d); term_output(",data,");}"); +`endif endmodule