X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/fdecc8972df0e5d3cc36f47cfa38d95c37612f2f..59680e79c8283984a36efdc1f4a295bb6a7cf2c3:/Fetch.v diff --git a/Fetch.v b/Fetch.v index 2a9d69d..918e53c 100644 --- a/Fetch.v +++ b/Fetch.v @@ -17,7 +17,7 @@ module Fetch( reg qjmp = 0; /* A jump has been queued up while we were waiting. */ reg [31:0] qjmppc; always @(posedge clk) - if ((rd_wait || stall) && jmp && !qjmp) + if ((rd_wait || stall) && jmp) {qjmp,qjmppc} <= {jmp, jmppc}; else if (!rd_wait && !stall && qjmp) /* It has already been intoed. */ {qjmp,qjmppc} <= {1'b0, 32'hxxxxxxxx};