X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/f942975c0a890e840bdb9af195229bbdc9a458a5..6060e5359241449a70a27ed0d7023951ee21ceeb:/system.v diff --git a/system.v b/system.v index f80f327..4601ae7 100644 --- a/system.v +++ b/system.v @@ -54,9 +54,7 @@ module System(input clk); wire [31:0] pc_out_fetch; wire [31:0] pc_out_issue; - wire execute_outflush = jmp; - wire issue_flush = execute_outflush; - wire execute_flush = 1'b0; + wire execute_out_backflush; BusArbiter busarbiter(.bus_req(bus_req), .bus_ack(bus_ack)); @@ -88,7 +86,7 @@ module System(input clk); Issue issue( .clk(clk), .Nrst(1'b1 /* XXX */), - .stall(stall_cause_execute), .flush(issue_flush), + .stall(stall_cause_execute), .flush(execute_out_backflush), .inbubble(bubble_out_fetch), .insn(insn_out_fetch), .inpc(pc_out_fetch), .cpsr(32'b0 /* XXX */), .outstall(stall_cause_issue), .outbubble(bubble_out_issue), @@ -110,7 +108,7 @@ module System(input clk); Execute execute( .clk(clk), .Nrst(1'b0), - .stall(1'b0 /* XXX */), .flush(execute_flush), + .stall(1'b0 /* XXX */), .flush(1'b0), .inbubble(bubble_out_issue), .pc(pc_out_issue), .insn(insn_out_issue), .cpsr(32'b0 /* XXX */), .spsr(decode_out_spsr), .op0(decode_out_op0), .op1(decode_out_op1), .op2(decode_out_op2), .carry(decode_out_carry), @@ -119,6 +117,7 @@ module System(input clk); .write_data(execute_out_write_data), .jmppc(jmppc), .jmp(jmp)); + assign execute_out_backflush = jmp; reg [31:0] clockno = 0; always @(posedge clk)