X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/db2351c40b191274daa393f8d1c748d8c8dd4ae6..4dc317445bd5132ca57744d7faf27731ea10d3b9:/system.v diff --git a/system.v b/system.v index 602f4fd..1635a34 100644 --- a/system.v +++ b/system.v @@ -1,5 +1,5 @@ -`define BUS_ICACHE 0 -`define BUS_DCACHE 1 +`define BUS_ICACHE 1 +`define BUS_DCACHE 0 module System(input clk); wire [7:0] bus_req; @@ -12,7 +12,7 @@ module System(input clk); wire bus_req_icache; wire bus_req_dcache; - assign bus_req = {6'b0, bus_req_dcache, bus_req_icache}; + assign bus_req = {6'b0, bus_req_icache, bus_req_dcache}; wire bus_ack_icache = bus_ack[`BUS_ICACHE]; wire bus_ack_dcache = bus_ack[`BUS_DCACHE];