X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/af096d96b3a5de1f24fc3520b209d9b6a8b946f2..314dac2128239e7e115f42540d86e8d2642eb0fa:/Execute.v diff --git a/Execute.v b/Execute.v index ea6cd60..34c62af 100644 --- a/Execute.v +++ b/Execute.v @@ -19,7 +19,9 @@ module Execute( output reg [31:0] outcpsr = 0, output reg write_reg = 1'bx, output reg [3:0] write_num = 4'bxxxx, - output reg [31:0] write_data = 32'hxxxxxxxx + output reg [31:0] write_data = 32'hxxxxxxxx, + output reg [31:0] outpc + output reg outflush ); reg mult_start; @@ -129,8 +131,17 @@ module Execute( end `DECODE_LDRSTR_UNDEFINED, /* Undefined. I hate ARM */ `DECODE_LDRSTR, /* Single data transfer */ - `DECODE_LDMSTM, /* Block data transfer */ - `DECODE_BRANCH, /* Branch */ + `DECODE_LDMSTM: /* Block data transfer */ + begin end + `DECODE_BRANCH: + begin + outpc = pc + op0; + if(insn[24]) begin + next_write_reg = 1; + next_write_num = 4'hE; /* link register */ + next_write_data = pc + 32'h4; + end + end /* Branch */ `DECODE_LDCSTC, /* Coprocessor data transfer */ `DECODE_CDP, /* Coprocessor data op */ `DECODE_MRCMCR, /* Coprocessor register transfer */ @@ -179,7 +190,6 @@ module Multiplier( end endmodule -/* XXX is the interface correct? */ module ALU( input clk, input Nrst, /* XXX not used yet */ @@ -198,12 +208,15 @@ module ALU( wire [31:0] res; wire flag_n, flag_z, flag_c, flag_v, setres; wire [32:0] sum, diff, rdiff; + wire sum_v, diff_v, rdiff_v; assign sum = {1'b0, in0} + {1'b0, in1}; assign diff = {1'b0, in0} - {1'b0, in1}; assign rdiff = {1'b0, in1} + {1'b0, in0}; + assign sum_v = (in0[31] ^~ in1[31]) & (sum[31] ^ in0[31]); + assign diff_v = (in0[31] ^ in1[31]) & (diff[31] ^ in0[31]); + assign rdiff_v = (in0[31] ^ in1[31]) & (rdiff[31] ^ in1[31]); - /* TODO XXX flag_v not set correctly */ always @(*) begin res = 32'hxxxxxxxx; setres = 1'bx; @@ -222,26 +235,32 @@ module ALU( end `ALU_SUB: begin {flag_c, result} = diff; + flag_v = diff_v; setres = 1'b1; end `ALU_RSB: begin {flag_c, result} = rdiff; + flag_v = rdiff_v; setres = 1'b1; end `ALU_ADD: begin {flag_c, result} = sum; + flag_v = sum_v; setres = 1'b1; end `ALU_ADC: begin {flag_c, result} = sum + {32'b0, cpsr[`CPSR_C]}; + flag_v = sum_v | (~sum[31] & result[31]); setres = 1'b1; end `ALU_SBC: begin {flag_c, result} = diff - {32'b0, (~cpsr[`CPSR_C])}; + flag_v = diff_v | (diff[31] & ~result[31]); setres = 1'b1; end `ALU_RSC: begin {flag_c, result} = rdiff - {32'b0, (~cpsr[`CPSR_C])}; + flag_v = rdiff_v | (rdiff[31] & ~result[31]); setres = 1'b1; end `ALU_TST: begin @@ -256,10 +275,12 @@ module ALU( end `ALU_CMP: begin {flag_c, result} = diff; + flag_v = diff_v; setres = 1'b0; end `ALU_CMN: begin {flag_c, result} = sum; + flag_v = sum_v; setres = 1'b0; end `ALU_ORR: begin