X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/ac3ae95acace9eb20e9bd75b59aa5f944df4d429..dfddccfb552a24c60589696269a5325ec267c46d:/Fetch.v diff --git a/Fetch.v b/Fetch.v index 834a0da..918e53c 100644 --- a/Fetch.v +++ b/Fetch.v @@ -17,9 +17,9 @@ module Fetch( reg qjmp = 0; /* A jump has been queued up while we were waiting. */ reg [31:0] qjmppc; always @(posedge clk) - if (rd_wait && jmp && !qjmp) + if ((rd_wait || stall) && jmp) {qjmp,qjmppc} <= {jmp, jmppc}; - else if (!rd_wait && qjmp) /* It has already been intoed. */ + else if (!rd_wait && !stall && qjmp) /* It has already been intoed. */ {qjmp,qjmppc} <= {1'b0, 32'hxxxxxxxx}; reg [31:0] reqpc;