X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/960947a70a85ad40fe2569500806552d11f1e02d..9f082c0b158378992182103afc1139f92ca23d89:/Fetch.v diff --git a/Fetch.v b/Fetch.v index 3b6d9c5..7dd4bb0 100644 --- a/Fetch.v +++ b/Fetch.v @@ -31,7 +31,7 @@ module Fetch( else nextpc = prevpc + 32'h4; - assign rd_addr = pc; + assign rd_addr = nextpc; assign rd_req = !stall; always @(posedge clk)