X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/960947a70a85ad40fe2569500806552d11f1e02d..35c310849a3685b823e06456e220e5647135fe42:/BlockRAM.v diff --git a/BlockRAM.v b/BlockRAM.v index 1731c30..e0eceeb 100644 --- a/BlockRAM.v +++ b/BlockRAM.v @@ -12,12 +12,10 @@ module BlockRAM( * 0x00004000. rdata and ready must be driven to zero if the * address is not within the range of this module. */ - wire decode = (bus_addr & ~32'h00003FFF) == 32'h00000000; - /* verilator lint_off WIDTH */ - wire [13:0] ramaddr = bus_addr & 32'h3FFC; /* mask off lower two bits + wire decode = bus_addr[31:14] == 18'b0; + wire [13:0] ramaddr = {bus_addr[13:2], 2'b0}; /* mask off lower two bits * for word alignment */ - /* verilator lint_on WIDTH */ - + reg [31:0] data [(16384 / 4 - 1):0]; reg [31:0] temprdata = 0; @@ -33,7 +31,7 @@ module BlockRAM( always @(posedge clk) begin if (bus_wr && decode) - data[ramaddr[13:2]] <= bus_wdata; + data[ramaddr[13:2]] = bus_wdata; /* This is not allowed to be conditional -- stupid Xilinx * blockram. */