X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/739fa95a4d31b541913db02b825c731ad3821430..4dc317445bd5132ca57744d7faf27731ea10d3b9:/Issue.v diff --git a/Issue.v b/Issue.v index a6b7109..1b9868d 100644 --- a/Issue.v +++ b/Issue.v @@ -180,7 +180,7 @@ module Issue( `DECODE_LDRSTR: begin use_cpsr = `COND_MATTERS(cond); - use_regs = idxbit(rn) | (insn[20] /* L */ ? 0 : idxbit(rd)); + use_regs = idxbit(rn) | (insn[25] /* I */ ? idxbit(rm) : 0) | (insn[20] /* L */ ? 0 : idxbit(rd)); def_cpsr = 0; def_regs = insn[20] /* L */ ? idxbit(rd) : 0; end @@ -282,8 +282,15 @@ module Issue( waiting_cpsr = use_cpsr & (cpsr_inflight[0] | cpsr_inflight[1]); waiting_regs = |(use_regs & (regs_inflight[0] | regs_inflight[1])); - outstall = (waiting && !inbubble) || stall; /* Happens in an always @*, because it is an exception. */ + outstall = (waiting && !inbubble && !flush) || stall; /* Happens in an always @*, because it is an exception. */ end + + reg delayedflush = 0; + always @(posedge clk) + if (flush && outstall /* halp! I can't do it now, maybe later? */) + delayedflush <= 1; + else if (!outstall /* anything has been handled this time around */) + delayedflush <= 0; /* Actually do the issue. */ always @(posedge clk) @@ -291,7 +298,7 @@ module Issue( if (waiting) $display("ISSUE: Stalling instruction %08x because %d/%d", insn, waiting_cpsr, waiting_regs); - if(flush) + if((flush || delayedflush) && !outstall) begin cpsr_inflight[0] = 1'b0; cpsr_inflight[1] = 1'b0;