X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/6595c4c85a94d1cfaaec707cf341a4e356627d88..a221c031dbfe61723a0313763dcd124acc49c455:/Issue.v diff --git a/Issue.v b/Issue.v index a745656..6b25d37 100644 --- a/Issue.v +++ b/Issue.v @@ -2,7 +2,7 @@ module Issue( input clk, - input Nrst, + input Nrst, /* XXX not used yet */ input stall, /* pipeline control */ input flush, @@ -264,6 +264,14 @@ module Issue( reg waiting_regs; wire waiting = waiting_cpsr | waiting_regs; + initial + begin + cpsr_inflight[0] = 0; + cpsr_inflight[1] = 0; + regs_inflight[0] = 0; + regs_inflight[1] = 0; + end + always @(*) begin waiting_cpsr = use_cpsr & (cpsr_inflight[0] | cpsr_inflight[1]); @@ -271,19 +279,16 @@ module Issue( end /* Actually do the issue. */ - always @(*) - outstall = waiting; - always @(posedge clk) begin cpsr_inflight[0] <= cpsr_inflight[1]; /* I'm not sure how well selects work with arrays, and that seems like a dumb thing to get anusulated by. */ - cpsr_inflight[1] <= (waiting | inbubble) ? 0 : def_cpsr; + cpsr_inflight[1] <= ((waiting | inbubble) && condition_met) ? 0 : def_cpsr; regs_inflight[0] <= regs_inflight[1]; - regs_inflight[1] <= (waiting | inbubble) ? 0 : def_regs; + regs_inflight[1] <= ((waiting | inbubble) && condition_met) ? 0 : def_regs; outbubble <= inbubble | waiting | !condition_met; outpc <= inpc; outinsn <= insn; + outstall <= waiting && !inbubble; end - endmodule