X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/5d9760a4dd32206c26c9f20fb995d29160bcbf71..45fa96c0a2fd6e490690cff69dd694a3dce3ab35:/busarb.v diff --git a/busarb.v b/busarb.v deleted file mode 100644 index 05a5130..0000000 --- a/busarb.v +++ /dev/null @@ -1,17 +0,0 @@ -module BusArbiter( - input [7:0] bus_req, - output reg [7:0] bus_ack); - - always @(*) - casex (bus_req) - 8'b00000000: bus_ack <= 8'b00000000; - 8'bxxxxxxx1: bus_ack <= 8'b00000001; - 8'bxxxxxx10: bus_ack <= 8'b00000010; - 8'bxxxxx100: bus_ack <= 8'b00000100; - 8'bxxxx1000: bus_ack <= 8'b00001000; - 8'bxxx10000: bus_ack <= 8'b00010000; - 8'bxx100000: bus_ack <= 8'b00100000; - 8'bx1000000: bus_ack <= 8'b01000000; - 8'b10000000: bus_ack <= 8'b10000000; - endcase -endmodule