X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/52fd78ff62b919c5dd5e59af2550e4ff733816a1..d43b0ab9a916f24601dd987fa84eb6e629b1679d:/ICache.v diff --git a/ICache.v b/ICache.v index b9e4eff..e6754b7 100644 --- a/ICache.v +++ b/ICache.v @@ -32,7 +32,7 @@ module ICache( reg [21:0] cache_tags [15:0]; reg [31:0] cache_data [15:0 /* line */] [15:0 /* word */]; - reg [4:0] i; + integer i; initial for (i = 0; i < 16; i = i + 1) begin @@ -48,10 +48,11 @@ module ICache( reg [31:0] prev_rd_addr = 32'hFFFFFFFF; wire cache_hit = cache_valid[rd_idx] && (cache_tags[rd_idx] == rd_tag); - - always @(*) begin /* XXX does this work nowadays? */ + + wire [31:0] curdata = cache_data[rd_idx][rd_didx_word]; + always @(*) begin rd_wait = rd_req && !cache_hit; - rd_data = cache_data[rd_idx][rd_didx_word]; + rd_data = curdata; end reg [3:0] cache_fill_pos = 0; @@ -77,7 +78,9 @@ module ICache( if (cache_fill_pos == 15) begin /* Done? */ cache_tags[rd_idx] <= rd_tag; cache_valid[rd_idx] <= 1; - end + $display("ICACHE: Fill complete for line %x, tag %x", rd_idx, rd_tag); + end else + cache_valid[rd_idx] <= 0; end end end