X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/4caf81e0cb02f814642cd7dc1a1d5ac05415415e..fd003c7a793fb17fb6dc7ba52873b883b28a578b:/ram.hex diff --git a/ram.hex b/ram.hex deleted file mode 100644 index 083ed80..0000000 --- a/ram.hex +++ /dev/null @@ -1,61 +0,0 @@ -e0012011 -e0013038 -e0014058 -e0015031 -e0016071 -e0012138 -e0013158 -e0014008 -e0015028 -e0016048 -e0017068 -e00120e8 -e0013168 -e00141e8 -e0015268 -e00162e8 -e0017368 -e180f081 -e180f081 -e180f081 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 -E0000000 - diff --git a/ram.hex b/ram.hex new file mode 120000 index 0000000..96b3cdf --- /dev/null +++ b/ram.hex @@ -0,0 +1 @@ +tests/u-boot.hex \ No newline at end of file