X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/30066e0689c34c97bcc69d8fe0e5d8ac53b1296c..ae185fc472934b4f3a318c8f898fa6b6a38a12da:/Fetch.v diff --git a/Fetch.v b/Fetch.v index 834a0da..2a9d69d 100644 --- a/Fetch.v +++ b/Fetch.v @@ -17,9 +17,9 @@ module Fetch( reg qjmp = 0; /* A jump has been queued up while we were waiting. */ reg [31:0] qjmppc; always @(posedge clk) - if (rd_wait && jmp && !qjmp) + if ((rd_wait || stall) && jmp && !qjmp) {qjmp,qjmppc} <= {jmp, jmppc}; - else if (!rd_wait && qjmp) /* It has already been intoed. */ + else if (!rd_wait && !stall && qjmp) /* It has already been intoed. */ {qjmp,qjmppc} <= {1'b0, 32'hxxxxxxxx}; reg [31:0] reqpc;