X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/23c6da6ca9b717930c06041e2b674b89058005f5..b215c5ffbcbfcad8069f2a9163c5faf997658c98:/RegFile.v diff --git a/RegFile.v b/RegFile.v index 47f5024..f5a63ae 100644 --- a/RegFile.v +++ b/RegFile.v @@ -1,11 +1,11 @@ module RegFile( input clk, + input [3:0] read_0, + output reg [31:0] rdata_0, input [3:0] read_1, output reg [31:0] rdata_1, input [3:0] read_2, output reg [31:0] rdata_2, - input [3:0] read_3, - output reg [31:0] rdata_3, input [3:0] write, input write_req, input [31:0] write_data @@ -34,6 +34,11 @@ module RegFile( always @(*) begin + if ((read_0 == write) && write_req) + rdata_0 = write_data; + else + rdata_0 = regfile[read_0]; + if ((read_1 == write) && write_req) rdata_1 = write_data; else @@ -43,11 +48,6 @@ module RegFile( rdata_2 = write_data; else rdata_2 = regfile[read_2]; - - if ((read_3 == write) && write_req) - rdata_3 = write_data; - else - rdata_3 = regfile[read_3]; end always @(posedge clk)