X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/1d97a0955e863b7dc7bbe4350bcef8c2047768d8..81a01a2b3225a62f9f0af74e5b2480c51ddbd1fe:/Terminal.v diff --git a/Terminal.v b/Terminal.v index 9dc5a21..89b19d5 100644 --- a/Terminal.v +++ b/Terminal.v @@ -26,8 +26,9 @@ module Terminal( cp_ack = 1; end end - +`ifdef verilator always @(posedge clk) if (towrite) $c("{extern void term_output(unsigned char d); term_output(",data,");}"); +`endif endmodule