X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/1c2e57dc81bb31f2f577481bac788d279956dc39..2c523f8ab5b2d5e0d2a5e34f360d16439861171e:/Issue.v diff --git a/Issue.v b/Issue.v index 2df5bfa..fcc2c0c 100644 --- a/Issue.v +++ b/Issue.v @@ -5,7 +5,7 @@ module Issue( input Nrst, /* XXX not used yet */ input stall, /* pipeline control */ - input flush, + input flush, /* XXX not used yet */ input inbubble, /* stage inputs */ input [31:0] insn,