X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/149bcd1aae858c157cb51b351187c66a99410d6d..c4e2ac3b3f80b9d92660c87009714362cdb7f875:/Issue.v diff --git a/Issue.v b/Issue.v index ef53bc3..7815a08 100644 --- a/Issue.v +++ b/Issue.v @@ -282,8 +282,15 @@ module Issue( waiting_cpsr = use_cpsr & (cpsr_inflight[0] | cpsr_inflight[1]); waiting_regs = |(use_regs & (regs_inflight[0] | regs_inflight[1])); - outstall = (waiting && !inbubble) || stall; /* Happens in an always @*, because it is an exception. */ + outstall = (waiting && !inbubble && !flush) || stall; /* Happens in an always @*, because it is an exception. */ end + + reg delayedflush = 0; + always @(posedge clk) + if (flush && outstall /* halp! I can't do it now, maybe later? */) + delayedflush <= 1; + else if (!outstall /* anything has been handled this time around */) + delayedflush <= 0; /* Actually do the issue. */ always @(posedge clk) @@ -291,12 +298,13 @@ module Issue( if (waiting) $display("ISSUE: Stalling instruction %08x because %d/%d", insn, waiting_cpsr, waiting_regs); - if(flush) + if((flush || delayedflush) && !outstall) begin cpsr_inflight[0] = 1'b0; cpsr_inflight[1] = 1'b0; regs_inflight[0] = 16'b0; regs_inflight[1] = 16'b0; + outbubble <= 1'b1; end else if (!stall) begin @@ -305,7 +313,7 @@ module Issue( regs_inflight[0] <= regs_inflight[1]; regs_inflight[1] <= (waiting || inbubble || !condition_met) ? 0 : def_regs; - outbubble <= inbubble | waiting | !condition_met | flush; + outbubble <= inbubble | waiting | !condition_met; outpc <= inpc; outinsn <= insn; end