X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/121556d62c2c40b07a709ef038fa1ce0a67127c9..8744c23dbf6a3c38649c800ae3d96e7e465e01fb:/xst/sim/tb.v diff --git a/xst/sim/tb.v b/xst/sim/tb.v new file mode 100644 index 0000000..b325713 --- /dev/null +++ b/xst/sim/tb.v @@ -0,0 +1,15 @@ +`timescale 1 ns/1 ps + +module tb(); + reg clk = 0; + wire [8:0] odata; + + System system(.clk(clk), .rst(1'b0), .sys_odata(odata), .sys_idata(9'b0), .sys_tookdata(tookdata)); + glbl glbl(); + + initial begin + $monitor($stime,,"odata: %x = %c", odata, odata[7:0]); + while(1) + #30 clk <= ~clk; + end +endmodule