X-Git-Url: http://git.joshuawise.com/firearm.git/blobdiff_plain/03cf736e2c85ee881927ceef1fae0542c85077da..acae28be768b6bcdae19c384826477548d6e72b1:/Issue.v diff --git a/Issue.v b/Issue.v index 3c8303a..ef53bc3 100644 --- a/Issue.v +++ b/Issue.v @@ -290,15 +290,22 @@ module Issue( begin if (waiting) $display("ISSUE: Stalling instruction %08x because %d/%d", insn, waiting_cpsr, waiting_regs); - - if (!stall) + + if(flush) + begin + cpsr_inflight[0] = 1'b0; + cpsr_inflight[1] = 1'b0; + regs_inflight[0] = 16'b0; + regs_inflight[1] = 16'b0; + end + else if (!stall) begin cpsr_inflight[0] <= cpsr_inflight[1]; /* I'm not sure how well selects work with arrays, and that seems like a dumb thing to get anusulated by. */ cpsr_inflight[1] <= (waiting || inbubble || !condition_met) ? 0 : def_cpsr; regs_inflight[0] <= regs_inflight[1]; regs_inflight[1] <= (waiting || inbubble || !condition_met) ? 0 : def_regs; - outbubble <= inbubble | waiting | !condition_met; + outbubble <= inbubble | waiting | !condition_met | flush; outpc <= inpc; outinsn <= insn; end