]> Joshua Wise's Git repositories - firearm.git/blobdiff - Memory.v
Memory: Add delayed flush. Make outbubble correct by moving it to the end (sadface...
[firearm.git] / Memory.v
index 918c5d9fa3150400efe45d14a57261293d616f71..2bab914d38470a31dd3a9de3cfe1e433c90166c2 100644 (file)
--- a/Memory.v
+++ b/Memory.v
@@ -97,6 +97,13 @@ module Memory(
                lsrh_state <= next_lsrh_state;
                prevaddr <= addr;
        end
+       
+       reg delayedflush = 0;
+       always @(posedge clk)
+               if (flush && outstall /* halp! I can't do it now, maybe later? */)
+                       delayedflush <= 1;
+               else if (!outstall /* anything has been handled this time around */)
+                       delayedflush <= 0;
 
        always @(*)
        begin
@@ -129,9 +136,7 @@ module Memory(
                cur_reg = prev_reg;
 
                /* XXX shit not given about endianness */
-               if (flush)
-                       next_outbubble = 1'b1;
-               else casez(insn)
+               casez(insn)
                `DECODE_ALU_SWP: if(!inbubble) begin
                        outstall = rw_wait;
                        next_outbubble = rw_wait;
@@ -239,6 +244,7 @@ module Memory(
                                        if(!rw_wait)
                                                next_lsr_state = 2'b10;
                                end
+                               $display("LDRSTR: rd_req %d, wr_req %d, raddr %08x, wait %d", rd_req, wr_req, raddr, rw_wait);
                        end
                        2'b10: begin
                                next_write_reg = 1'b1;
@@ -258,8 +264,9 @@ module Memory(
                        3'b001: begin
 //                             next_regs = insn[23] ? op1[15:0] : op1[0:15];
                                /** verilator can suck my dick */
-                               next_regs = insn[23] ? op1[15:0] : {op1[0], op1[1], op1[2], op1[3], op1[4], op1[5], op1[6], op1[7],
-                                                                   op1[8], op1[9], op1[10], op1[11], op1[12], op1[13], op1[14], op1[15]};
+                               $display("LDMSTM: Round 1: base register: %08x, reg list %b", op0, op1[15:0]);
+                               next_regs = insn[23] /* U */ ? op1[15:0] : {op1[0], op1[1], op1[2], op1[3], op1[4], op1[5], op1[6], op1[7],
+                                                                           op1[8], op1[9], op1[10], op1[11], op1[12], op1[13], op1[14], op1[15]};
                                offset = 6'b0;
                                outstall = 1'b1;
                                next_lsm_state = 3'b010;
@@ -337,30 +344,32 @@ module Memory(
                                        next_regs = 16'b0;
                                end
                                endcase
-                               cur_reg = insn[23] ? 4'hF - cur_reg : cur_reg;
+                               cur_reg = insn[23] ? cur_reg : 4'hF - cur_reg;
                                if(cur_reg == 4'hF && insn[22]) begin
                                        next_outcpsr = spsr;
                                end
 
-                               if(rw_wait) begin
-                                       next_regs = regs;
-                                       cur_reg = prev_reg;
-                                       raddr = prev_raddr;
-                               end
-                               else begin
+                               if (rw_wait)
+                                       offset = prev_offset;   /* whoops, do this one again */
+                               else
                                        offset = prev_offset + 6'h4;
-                                       offset_sel = insn[24] ? offset : prev_offset;
-                                       raddr = insn[23] ? op0 + {26'b0, offset_sel} : op0 - {26'b0, offset_sel};
-                                       if(insn[20]) begin
-                                               next_write_reg = 1'b1;
-                                               next_write_num = cur_reg;
-                                               next_write_data = rd_data;
-                                       end
+                               offset_sel = insn[24] ? offset : prev_offset;
+                               raddr = insn[23] ? op0 + {26'b0, offset_sel} : op0 - {26'b0, offset_sel};
+                               if(insn[20]) begin
+                                       next_write_reg = !rw_wait;
+                                       next_write_num = cur_reg;
+                                       next_write_data = rd_data;
+                               end
+                               if (rw_wait) begin
+                                       next_regs = regs;
+                                       cur_reg = prev_reg;     /* whoops, do this one again */
                                end
 
                                st_read = cur_reg;
-                               wr_data = st_data;
+                               wr_data = (cur_reg == 4'hF) ? (pc + 12) : st_data;
                                busaddr = raddr;
+                               
+                               $display("LDMSTM: Stage 2: Writing: regs %b, next_regs %b, reg %d, wr_data %08x, addr %08x", regs, next_regs, cur_reg, wr_data, busaddr);
 
                                outstall = 1'b1;
 
@@ -369,13 +378,15 @@ module Memory(
                                end
                        end
                        3'b100: begin
-                               next_write_reg = 1'b1;
+                               next_write_reg = insn[21] /* writeback */;
                                next_write_num = insn[19:16];
                                next_write_data = insn[23] ? op0 + {26'b0, prev_offset} : op0 - {26'b0, prev_offset};
                                next_lsm_state = 3'b001;
+                               $display("LDMSTM: Stage 3: Writing back");
                        end
-                       default: begin end
+                       default: $stop;
                        endcase
+                       $display("LDMSTM: Decoded, bubble %d, insn %08x, lsm state %b -> %b, stall %d", inbubble, insn, lsm_state, next_lsm_state, outstall);
                end
                `DECODE_LDCSTC: if(!inbubble) begin
                        $display("WARNING: Unimplemented LDCSTC");
@@ -415,5 +426,8 @@ module Memory(
                end
                default: begin end
                endcase
+               
+               if ((flush || delayedflush) && !outstall)
+                       next_outbubble = 1'b1;
        end
 endmodule
This page took 0.027044 seconds and 4 git commands to generate.