]> Joshua Wise's Git repositories - firearm.git/blobdiff - Decode.v
with more reg
[firearm.git] / Decode.v
index cbe6184d5266a53e4a176a632e03dc7983b62461..689e8fc3d6e879d97d9ff9229d21f8ed00e5fa23 100644 (file)
--- a/Decode.v
+++ b/Decode.v
@@ -10,17 +10,18 @@ module Decode(
        output reg [31:0] op2,
        output reg carry,
 
        output reg [31:0] op2,
        output reg carry,
 
-       output [3:0] read_0,
-       output [3:0] read_1,
-       output [3:0] read_2,
+       output reg [3:0] read_0,
+       output reg [3:0] read_1,
+       output reg [3:0] read_2,
        input [31:0] rdata_0,
        input [31:0] rdata_1,
        input [31:0] rdata_2
        );
 
        input [31:0] rdata_0,
        input [31:0] rdata_1,
        input [31:0] rdata_2
        );
 
-       wire [31:0] regs0, regs1, regs2, rpc;
-       wire [31:0] op0_out, op1_out, op2_out;
-       wire carry_out;
+       wire [31:0] regs0, regs1, regs2;
+       reg [31:0] rpc;
+       reg [31:0] op0_out, op1_out, op2_out;
+       reg carry_out;
 
        /* shifter stuff */
        wire [31:0] shift_oper;
 
        /* shifter stuff */
        wire [31:0] shift_oper;
@@ -236,12 +237,12 @@ module IREALLYHATEARMSHIFT(
        input [31:0] operand,
        input [31:0] reg_amt,
        input cflag_in,
        input [31:0] operand,
        input [31:0] reg_amt,
        input cflag_in,
-       output [31:0] res,
-       output cflag_out
+       output reg [31:0] res,
+       output reg cflag_out
 );
        wire [5:0] shift_amt;
 );
        wire [5:0] shift_amt;
-       wire rshift_cout, is_arith, is_rot;
-       wire [31:0] rshift_res;
+       reg rshift_cout, is_arith, is_rot;
+       reg [31:0] rshift_res;
 
        assign shift_amt = insn[4] ? {|reg_amt[7:5], reg_amt[4:0]}     /* reg-specified shift */
                                   : {insn[11:7] == 5'b0, insn[11:7]}; /* immediate shift */
 
        assign shift_amt = insn[4] ? {|reg_amt[7:5], reg_amt[4:0]}     /* reg-specified shift */
                                   : {insn[11:7] == 5'b0, insn[11:7]}; /* immediate shift */
@@ -315,11 +316,11 @@ module SuckLessShifter(
 
        /* do a barrel shift */
        assign stage1 = amt[5] ? {is_rot ? oper : {32{pushbits}}, oper[31]} : {oper, carryin};
 
        /* do a barrel shift */
        assign stage1 = amt[5] ? {is_rot ? oper : {32{pushbits}}, oper[31]} : {oper, carryin};
-       assign stage2 = amt[4] ? {is_rot ? stage1[15:0] : {16{pushbits}}, stage1[31:16], stage1[15]} : stage1;
-       assign stage3 = amt[3] ? {is_rot ? stage2[7:0] : {8{pushbits}}, stage2[31:8], stage2[7]} : stage2;
-       assign stage4 = amt[2] ? {is_rot ? stage3[3:0] : {4{pushbits}}, stage3[31:4], stage3[3]} : stage3;
-       assign stage5 = amt[1] ? {is_rot ? stage4[1:0] : {2{pushbits}}, stage4[31:2], stage4[1]} : stage4;
-       assign {res, carryout} = amt[0] ? {is_rot ? stage5[0] : pushbits, stage5[31:1], stage5[0]} : stage5;
+       assign stage2 = amt[4] ? {is_rot ? stage1[16:1] : {16{pushbits}}, stage1[32:17], stage1[16]} : stage1;
+       assign stage3 = amt[3] ? {is_rot ? stage2[8:1] : {8{pushbits}}, stage2[32:9], stage2[8]} : stage2;
+       assign stage4 = amt[2] ? {is_rot ? stage3[4:1] : {4{pushbits}}, stage3[32:5], stage3[4]} : stage3;
+       assign stage5 = amt[1] ? {is_rot ? stage4[2:1] : {2{pushbits}}, stage4[32:3], stage4[2]} : stage4;
+       assign {res, carryout} = amt[0] ? {is_rot ? stage5[1] : pushbits, stage5[32:2], stage5[1]} : stage5;
 
 endmodule
 
 
 endmodule
 
This page took 0.022186 seconds and 4 git commands to generate.