]> Joshua Wise's Git repositories - firearm.git/blobdiff - BigBlockRAM.v
Add a BigBlockRAM that's 8MB (and obviously not very synthesizable). Make system...
[firearm.git] / BigBlockRAM.v
diff --git a/BigBlockRAM.v b/BigBlockRAM.v
new file mode 100644 (file)
index 0000000..b8ccbff
--- /dev/null
@@ -0,0 +1,41 @@
+module BigBlockRAM(
+       input clk,
+       input [31:0] bus_addr,
+       output wire [31:0] bus_rdata,
+       input [31:0] bus_wdata,
+       input bus_rd,
+       input bus_wr,
+       output wire bus_ready
+       );
+       
+       /* This module is mapped in physical memory from 0x00000000 to
+        * 0x00800000.  rdata and ready must be driven to zero if the
+        * address is not within the range of this module.
+        */
+       wire decode = bus_addr[31:23] == 9'b0;
+       wire [22:0] ramaddr = {bus_addr[22:2], 2'b0};   /* mask off lower two bits
+                                                        * for word alignment */
+
+       reg [31:0] data [((8*1024*1024) / 4 - 1):0];
+       
+       reg [31:0] temprdata = 0;
+       reg [22:0] lastread = 23'h7FFFFFFF;
+       assign bus_rdata = (bus_rd && decode) ? temprdata : 32'h0;
+       
+       assign bus_ready = decode &&
+               (bus_wr || (bus_rd && (lastread == ramaddr)));
+       
+       initial
+               $readmemh("ram.hex", data);
+       
+       always @(posedge clk)
+       begin
+               if (bus_wr && decode)
+                       data[ramaddr[22:2]] = bus_wdata;
+               
+               /* This is not allowed to be conditional -- stupid Xilinx
+                * blockram. */
+               temprdata <= data[ramaddr[22:2]];
+               lastread <= ramaddr;
+       end
+endmodule
This page took 0.022078 seconds and 4 git commands to generate.