]> Joshua Wise's Git repositories - firearm.git/blobdiff - fetch.v
Fix a few scattered bugs, and get fetch to work.
[firearm.git] / fetch.v
diff --git a/fetch.v b/fetch.v
deleted file mode 100644 (file)
index 885b29c..0000000
--- a/fetch.v
+++ /dev/null
@@ -1,40 +0,0 @@
-module Fetch(
-       input clk,
-       input Nrst,
-       
-       output wire [31:0] rd_addr,
-       output wire rd_req,
-       input rd_wait,
-       input [31:0] rd_data,
-       
-       input stall,
-       input jmp,
-       input [31:0] jmppc;
-       output wire bubble,
-       output wire [31:0] insn,
-       output reg [31:0] pc);
-
-       reg [31:0] prevpc;
-       initial
-               prevpc <= 32'h0;
-       always @(negedge Nrst)
-               prevpc <= 32'h0;
-       
-       always @(*)
-               if (!Nrst)
-                       pc <= 32'h0;
-               else if (stall) /* don't change any internal state */
-                       pc <= prevpc;
-               else if (jmp)
-                       pc <= jmppc;
-               else
-                       pc <= prevpc + 32'h4;
-       
-       assign bubble = stall | rd_wait;
-       assign rd_addr = pc;
-       assign rd_req = !stall;
-       assign insn = rd_data;
-                       
-       always @(posedge clk)
-               prevpc <= pc;
-endmodule
This page took 0.021943 seconds and 4 git commands to generate.