]> Joshua Wise's Git repositories - firearm.git/blobdiff - Fetch.v
RegFile: Move to assigns, since XST can't always @(regfile).
[firearm.git] / Fetch.v
diff --git a/Fetch.v b/Fetch.v
index 7dd4bb02aa207f9ff3fd976ffcab86dab1ddefef..918e53c7faa2a8d2a93bf675a8716374a8015541 100644 (file)
--- a/Fetch.v
+++ b/Fetch.v
@@ -12,37 +12,49 @@ module Fetch(
        input [31:0] jmppc,
        output reg bubble = 1,
        output reg [31:0] insn = 0,
-       output reg [31:0] pc = 0);
-
-       reg [31:0] prevpc;
-       reg [31:0] nextpc;
-       initial
-               prevpc = 32'hFFFFFFFC;  /* ugh... the first pc we request will be this +4 */
-       always @(negedge Nrst)
-               prevpc <= 32'hFFFFFFFC;
-
-       always @(*)     
-               if (!Nrst)
-                       nextpc = 32'hFFFFFFFC;
-               else if (stall) /* don't change any internal state */
-                       nextpc = prevpc;
+       output reg [31:0] pc = 32'hFFFFFFFC);
+       
+       reg qjmp = 0;   /* A jump has been queued up while we were waiting. */
+       reg [31:0] qjmppc;
+       always @(posedge clk)
+               if ((rd_wait || stall) && jmp)
+                       {qjmp,qjmppc} <= {jmp, jmppc};
+               else if (!rd_wait && !stall && qjmp)    /* It has already been intoed. */
+                       {qjmp,qjmppc} <= {1'b0, 32'hxxxxxxxx};
+       
+       reg [31:0] reqpc;
+       always @(*)
+               if (stall)
+                       reqpc = pc;
+               else if (qjmp)
+                       reqpc = qjmppc;
                else if (jmp)
-                       nextpc = jmppc;
+                       reqpc = jmppc;
                else
-                       nextpc = prevpc + 32'h4;
+                       reqpc = pc + 4;
+       
+       assign rd_addr = reqpc;
+       assign rd_req = 1;
+       
+       always @(negedge Nrst)
+       begin
+               pc <= 32'hFFFFFFFC;
+               qjmp <= 0;
+               bubble <= 1;
+       end
        
-       assign rd_addr = nextpc;
-       assign rd_req = !stall;
-                       
        always @(posedge clk)
        begin
-               if (!rd_wait || !Nrst)
-                       prevpc <= nextpc;
-               if (!stall)
+               if (!Nrst) begin
+                       pc <= 32'hFFFFFFFC;
+                       qjmp <= 0;
+                       bubble <= 1;
+               end else if (!stall)
                begin
                        bubble <= rd_wait;
                        insn <= rd_data;
-                       pc <= nextpc;
+                       if (!rd_wait)
+                               pc <= reqpc;
                end
        end
 endmodule
This page took 0.025259 seconds and 4 git commands to generate.