]> Joshua Wise's Git repositories - firearm.git/blobdiff - ICache.v
system: Fix message printed by DECODE debug line to have a zero base for op numbers.
[firearm.git] / ICache.v
index 3a9f14481be7a2cc9c92dcbd036d7193602cf9ae..8106259ef9a175a417ab22ccbe849f1b02d7deef 100644 (file)
--- a/ICache.v
+++ b/ICache.v
@@ -10,7 +10,7 @@ module ICache(
        output reg [31:0] rd_data,
        
        /* bus interface */
-       output reg bus_req,
+       output wire bus_req,
        input bus_ack,
        output reg [31:0] bus_addr,
        input [31:0] bus_rdata,
@@ -35,13 +35,18 @@ module ICache(
        reg [4:0] i;
        initial
                for (i = 0; i < 16; i = i + 1)
+               begin
                        cache_valid[i[3:0]] = 0;
+                       cache_tags[i[3:0]] = 0;
+               end
        
        wire [5:0] rd_didx = rd_addr[5:0];
        wire [3:0] rd_didx_word = rd_didx[5:2];
        wire [3:0] rd_idx = rd_addr[9:6];
        wire [21:0] rd_tag = rd_addr[31:10];
        
+       reg [31:0] prev_rd_addr = 32'hFFFFFFFF;
+       
        wire cache_hit = cache_valid[rd_idx] && (cache_tags[rd_idx] == rd_tag);
        
        always @(*) begin       /* XXX does this work nowadays? */
@@ -60,9 +65,13 @@ module ICache(
                        bus_rd = 0;
                end
        
-       always @(posedge clk)
-               if (rd_req && !cache_hit) begin
+       always @(posedge clk) begin
+               prev_rd_addr <= {rd_addr[31:6], 6'b0};
+               if (cache_fill_pos != 0 && ((prev_rd_addr != {rd_addr[31:6], 6'b0}) || cache_hit))      /* If this wasn't from the same line, or we've moved on somehow, reset the fill circuitry. */
+                       cache_fill_pos <= 0;
+               else if (rd_req && !cache_hit) begin
                        if (bus_ready) begin    /* Started the fill, and we have data. */
+                               $display("ICACHE: FILL: rd addr %08x; bus addr %08x; bus data %08x", rd_addr, bus_addr, bus_rdata);
                                cache_data[rd_idx][cache_fill_pos] <= bus_rdata;
                                cache_fill_pos <= cache_fill_pos + 1;
                                if (cache_fill_pos == 15) begin /* Done? */
@@ -71,4 +80,5 @@ module ICache(
                                end
                        end
                end
+       end
 endmodule
This page took 0.024955 seconds and 4 git commands to generate.