]> Joshua Wise's Git repositories - firearm.git/blobdiff - Issue.v
decode compiles
[firearm.git] / Issue.v
diff --git a/Issue.v b/Issue.v
index 628ef0886c193e420ba7f631cd0b5bf7bae2bec9..2df5bfa5406613f7b8458962fb324fb9311fbeab 100644 (file)
--- a/Issue.v
+++ b/Issue.v
@@ -12,10 +12,10 @@ module Issue(
        input [31:0] inpc,
        input [31:0] cpsr,
        
-       output reg outstall,    /* stage outputs */
-       output reg outbubble,
-       output reg [31:0] outpc,
-       output reg [31:0] outinsn
+       output reg outstall = 0,        /* stage outputs */
+       output reg outbubble = 1,
+       output reg [31:0] outpc = 0,
+       output reg [31:0] outinsn = 0
        /* XXX other? */
        );
        
@@ -264,23 +264,37 @@ module Issue(
        reg waiting_regs;
        wire waiting = waiting_cpsr | waiting_regs;
        
+       initial
+       begin
+               cpsr_inflight[0] = 0;
+               cpsr_inflight[1] = 0;
+               regs_inflight[0] = 0;
+               regs_inflight[1] = 0;
+       end
+               
        always @(*)
        begin
                waiting_cpsr = use_cpsr & (cpsr_inflight[0] | cpsr_inflight[1]);
                waiting_regs = |(use_regs & (regs_inflight[0] | regs_inflight[1]));
+               
+               outstall = waiting && !inbubble;        /* Happens in an always @*, because it is an exception. */
        end
        
        /* Actually do the issue. */
        always @(posedge clk)
        begin
                cpsr_inflight[0] <= cpsr_inflight[1];   /* I'm not sure how well selects work with arrays, and that seems like a dumb thing to get anusulated by. */
-               cpsr_inflight[1] <= ((waiting | inbubble) && condition_met) ? 0 : def_cpsr;
+               cpsr_inflight[1] <= (waiting || inbubble || !condition_met) ? 0 : def_cpsr;
                regs_inflight[0] <= regs_inflight[1];
-               regs_inflight[1] <= ((waiting | inbubble) && condition_met) ? 0 : def_regs;
+               regs_inflight[1] <= (waiting || inbubble || !condition_met) ? 0 : def_regs;
+               
+               if (waiting)
+               begin
+                       $display("ISSUE: Stalling instruction %08x because %d/%d", insn, waiting_cpsr, waiting_regs);
+               end
 
                outbubble <= inbubble | waiting | !condition_met;
                outpc <= inpc;
                outinsn <= insn;
-               outstall <= waiting;
        end
 endmodule
This page took 0.022168 seconds and 4 git commands to generate.