]> Joshua Wise's Git repositories - firearm.git/blame_incremental - Execute.v
decode: fix, regfile: different starting constants for greater usefulness, ram.hex...
[firearm.git] / Execute.v
... / ...
CommitLineData
1module Execute(
2 input clk,
3 input Nrst, /* XXX not used yet */
4
5 input stall,
6 input flush,
7
8 input inbubble,
9 input [31:0] pc,
10 input [31:0] insn,
11 input [31:0] cpsr,
12 input [31:0] op0,
13 input [31:0] op1,
14 input [31:0] op2,
15 input carry,
16
17 output reg outstall = 0,
18 output reg outbubble = 1
19 );
20
21endmodule
This page took 0.021213 seconds and 4 git commands to generate.