]> Joshua Wise's Git repositories - firearm.git/blame - system.v
Memory: Fix up a constant that was typoed and malformed.
[firearm.git] / system.v
CommitLineData
e3a9107a
JW
1`define BUS_ICACHE 1
2`define BUS_DCACHE 0
ee406839 3
fd003c7a
JW
4module System(input clk
5`ifdef verilator
6`else
7 , output wire [8:0] sys_odata,
8 input [8:0] sys_idata,
9 output wire sys_tookdata
10`endif
11 );
12
ee406839
JW
13 wire [7:0] bus_req;
14 wire [7:0] bus_ack;
15 wire [31:0] bus_addr;
a0bb35e7
JW
16 wire [31:0] bus_rdata;
17 wire [31:0] bus_wdata;
ee406839
JW
18 wire bus_rd, bus_wr;
19 wire bus_ready;
45fa96c0 20
03f45381
JW
21 wire bus_req_icache;
22 wire bus_req_dcache;
e3a9107a 23 assign bus_req = {6'b0, bus_req_icache, bus_req_dcache};
ee406839 24 wire bus_ack_icache = bus_ack[`BUS_ICACHE];
03f45381 25 wire bus_ack_dcache = bus_ack[`BUS_DCACHE];
45fa96c0 26
ee406839
JW
27 wire [31:0] bus_addr_icache;
28 wire [31:0] bus_wdata_icache;
29 wire bus_rd_icache;
30 wire bus_wr_icache;
31
03f45381
JW
32 wire [31:0] bus_addr_dcache;
33 wire [31:0] bus_wdata_dcache;
34 wire bus_rd_dcache;
35 wire bus_wr_dcache;
36
a0bb35e7
JW
37 wire [31:0] bus_rdata_blockram;
38 wire bus_ready_blockram;
39
03f45381 40 assign bus_addr = bus_addr_icache | bus_addr_dcache;
a0bb35e7 41 assign bus_rdata = bus_rdata_blockram;
03f45381
JW
42 assign bus_wdata = bus_wdata_icache | bus_wdata_dcache;
43 assign bus_rd = bus_rd_icache | bus_rd_dcache;
44 assign bus_wr = bus_wr_icache | bus_wr_dcache;
a0bb35e7 45 assign bus_ready = bus_ready_blockram;
149bcd1a 46
5d9760a4
JW
47 wire [31:0] icache_rd_addr;
48 wire icache_rd_req;
49 wire icache_rd_wait;
50 wire [31:0] icache_rd_data;
09e28f01 51
03f45381
JW
52 wire [31:0] dcache_addr;
53 wire dcache_rd_req, dcache_wr_req;
54 wire dcache_rw_wait;
55 wire [31:0] dcache_wr_data, dcache_rd_data;
56
ab7ee9fc 57 wire [31:0] decode_out_op0, decode_out_op1, decode_out_op2, decode_out_spsr, decode_out_cpsr;
42c1e610 58 wire decode_out_carry;
c65110a8
JW
59
60 wire [3:0] regfile_read_0, regfile_read_1, regfile_read_2, regfile_read_3;
61 wire [31:0] regfile_rdata_0, regfile_rdata_1, regfile_rdata_2, regfile_rdata_3, regfile_spsr;
ab7ee9fc
JW
62 wire regfile_write;
63 wire [3:0] regfile_write_reg;
64 wire [31:0] regfile_write_data;
c65110a8 65
bc572c5f
JW
66 wire execute_out_write_reg;
67 wire [3:0] execute_out_write_num;
68 wire [31:0] execute_out_write_data;
c65110a8 69 wire [31:0] execute_out_op0, execute_out_op1, execute_out_op2;
1e66d5d1 70 wire [31:0] execute_out_cpsr, execute_out_spsr;
fdecc897 71 wire execute_out_cpsrup;
ab7ee9fc
JW
72
73 wire jmp_out_execute, jmp_out_writeback;
74 wire [31:0] jmppc_out_execute, jmppc_out_writeback;
75 wire jmp = jmp_out_execute | jmp_out_writeback;
76 wire [31:0] jmppc = jmppc_out_execute | jmppc_out_writeback;
5ca27949 77
c65110a8
JW
78 wire memory_out_write_reg;
79 wire [3:0] memory_out_write_num;
80 wire [31:0] memory_out_write_data;
ab7ee9fc 81 wire [31:0] memory_out_cpsr, memory_out_spsr;
fdecc897 82 wire memory_out_cpsrup;
ab7ee9fc
JW
83
84 wire [31:0] writeback_out_cpsr, writeback_out_spsr;
1d97a095
JW
85
86 wire cp_ack_terminal;
87 wire cp_busy_terminal;
88 wire [31:0] cp_read_terminal;
c65110a8 89
43e4332c 90 wire cp_req;
1d97a095
JW
91 wire [31:0] cp_insn;
92 wire cp_ack = cp_ack_terminal;
93 wire cp_busy = cp_busy_terminal;
43e4332c 94 wire cp_rnw;
1d97a095 95 wire [31:0] cp_read = cp_read_terminal;
43e4332c
JW
96 wire [31:0] cp_write;
97
c65110a8
JW
98 wire stall_cause_issue;
99 wire stall_cause_execute;
100 wire stall_cause_memory;
09e28f01
JW
101 wire bubble_out_fetch;
102 wire bubble_out_issue;
2393422a 103 wire bubble_out_execute;
c65110a8 104 wire bubble_out_memory;
09e28f01
JW
105 wire [31:0] insn_out_fetch;
106 wire [31:0] insn_out_issue;
2393422a 107 wire [31:0] insn_out_execute;
c65110a8 108 wire [31:0] insn_out_memory;
09e28f01
JW
109 wire [31:0] pc_out_fetch;
110 wire [31:0] pc_out_issue;
2393422a 111 wire [31:0] pc_out_execute;
c65110a8 112 wire [31:0] pc_out_memory;
149bcd1a 113
7947b9c7 114 wire execute_out_backflush;
ab7ee9fc 115 wire writeback_out_backflush;
c2b9d4b7 116
ee406839 117 BusArbiter busarbiter(.bus_req(bus_req), .bus_ack(bus_ack));
a0bb35e7
JW
118
119 ICache icache(
120 .clk(clk),
5d9760a4
JW
121 /* XXX reset? */
122 .rd_addr(icache_rd_addr), .rd_req(icache_rd_req),
123 .rd_wait(icache_rd_wait), .rd_data(icache_rd_data),
ee406839 124 .bus_req(bus_req_icache), .bus_ack(bus_ack_icache),
a0bb35e7 125 .bus_addr(bus_addr_icache), .bus_rdata(bus_rdata),
ee406839
JW
126 .bus_wdata(bus_wdata_icache), .bus_rd(bus_rd_icache),
127 .bus_wr(bus_wr_icache), .bus_ready(bus_ready));
45fa96c0 128
03f45381
JW
129 DCache dcache(
130 .clk(clk),
131 .addr(dcache_addr), .rd_req(dcache_rd_req), .wr_req(dcache_wr_req),
132 .rw_wait(dcache_rw_wait), .wr_data(dcache_wr_data), .rd_data(dcache_rd_data),
133 .bus_req(bus_req_dcache), .bus_ack(bus_ack_dcache),
134 .bus_addr(bus_addr_dcache), .bus_rdata(bus_rdata),
135 .bus_wdata(bus_wdata_dcache), .bus_rd(bus_rd_dcache),
136 .bus_wr(bus_wr_dcache), .bus_ready(bus_ready));
137
90bdd4a8
JW
138`ifdef verilator
139 BigBlockRAM
140`else
141 BlockRAM
142`endif
143 blockram(
a0bb35e7
JW
144 .clk(clk),
145 .bus_addr(bus_addr), .bus_rdata(bus_rdata_blockram),
146 .bus_wdata(bus_wdata), .bus_rd(bus_rd), .bus_wr(bus_wr),
147 .bus_ready(bus_ready_blockram));
148
5d9760a4
JW
149 Fetch fetch(
150 .clk(clk),
f61f8d6f 151 .Nrst(1'b1 /* XXX */),
5d9760a4
JW
152 .rd_addr(icache_rd_addr), .rd_req(icache_rd_req),
153 .rd_wait(icache_rd_wait), .rd_data(icache_rd_data),
149bcd1a 154 .stall(stall_cause_issue), .jmp(jmp), .jmppc(jmppc),
09e28f01
JW
155 .bubble(bubble_out_fetch), .insn(insn_out_fetch),
156 .pc(pc_out_fetch));
157
158 Issue issue(
159 .clk(clk),
f61f8d6f 160 .Nrst(1'b1 /* XXX */),
ab7ee9fc 161 .stall(stall_cause_execute), .flush(execute_out_backflush | writeback_out_backflush),
09e28f01 162 .inbubble(bubble_out_fetch), .insn(insn_out_fetch),
ab7ee9fc 163 .inpc(pc_out_fetch), .cpsr(writeback_out_cpsr),
09e28f01
JW
164 .outstall(stall_cause_issue), .outbubble(bubble_out_issue),
165 .outpc(pc_out_issue), .outinsn(insn_out_issue));
90ff449a 166
5ca27949
JW
167 RegFile regfile(
168 .clk(clk),
8077f6bb
JW
169 .read_0(regfile_read_0), .read_1(regfile_read_1), .read_2(regfile_read_2), .read_3(regfile_read_3),
170 .rdata_0(regfile_rdata_0), .rdata_1(regfile_rdata_1), .rdata_2(regfile_rdata_2), .rdata_3(regfile_rdata_3),
ab7ee9fc
JW
171 .spsr(regfile_spsr),
172 .write(regfile_write), .write_reg(regfile_write_reg), .write_data(regfile_write_data));
5ca27949
JW
173
174 Decode decode(
175 .clk(clk),
e74c7936 176 .stall(stall_cause_execute),
ab7ee9fc 177 .insn(insn_out_fetch), .inpc(pc_out_fetch), .incpsr(writeback_out_cpsr), .inspsr(writeback_out_spsr),
5ca27949 178 .op0(decode_out_op0), .op1(decode_out_op1), .op2(decode_out_op2),
ab7ee9fc 179 .carry(decode_out_carry), .outcpsr(decode_out_cpsr), .outspsr(decode_out_spsr),
5ca27949
JW
180 .read_0(regfile_read_0), .read_1(regfile_read_1), .read_2(regfile_read_2),
181 .rdata_0(regfile_rdata_0), .rdata_1(regfile_rdata_1), .rdata_2(regfile_rdata_2));
182
bc572c5f 183 Execute execute(
f61f8d6f 184 .clk(clk), .Nrst(1'b0),
ab7ee9fc 185 .stall(stall_cause_memory), .flush(writeback_out_backflush),
bc572c5f 186 .inbubble(bubble_out_issue), .pc(pc_out_issue), .insn(insn_out_issue),
ab7ee9fc 187 .cpsr(decode_out_cpsr), .spsr(decode_out_spsr), .op0(decode_out_op0), .op1(decode_out_op1),
bc572c5f 188 .op2(decode_out_op2), .carry(decode_out_carry),
2393422a 189 .outstall(stall_cause_execute), .outbubble(bubble_out_execute),
bc572c5f 190 .write_reg(execute_out_write_reg), .write_num(execute_out_write_num),
149bcd1a 191 .write_data(execute_out_write_data),
ab7ee9fc 192 .jmp(jmp_out_execute), .jmppc(jmppc_out_execute),
c65110a8 193 .outpc(pc_out_execute), .outinsn(insn_out_execute),
1e66d5d1 194 .outop0(execute_out_op0), .outop1(execute_out_op1), .outop2(execute_out_op2),
fdecc897 195 .outcpsr(execute_out_cpsr), .outspsr(execute_out_spsr), .outcpsrup(execute_out_cpsrup));
7947b9c7 196 assign execute_out_backflush = jmp;
c65110a8 197
1d97a095 198 assign cp_insn = insn_out_execute;
c65110a8
JW
199 Memory memory(
200 .clk(clk), .Nrst(1'b0),
ab7ee9fc 201 /* stall? */ .flush(writeback_out_backflush),
b455d481
JW
202 .busaddr(dcache_addr), .rd_req(dcache_rd_req), .wr_req(dcache_wr_req),
203 .rw_wait(dcache_rw_wait), .wr_data(dcache_wr_data), .rd_data(dcache_rd_data),
c65110a8
JW
204 .st_read(regfile_read_3), .st_data(regfile_rdata_3),
205 .inbubble(bubble_out_execute), .pc(pc_out_execute), .insn(insn_out_execute),
206 .op0(execute_out_op0), .op1(execute_out_op1), .op2(execute_out_op2),
fdecc897 207 .spsr(execute_out_spsr), .cpsr(execute_out_cpsr), .cpsrup(execute_out_cpsrup),
c65110a8
JW
208 .write_reg(execute_out_write_reg), .write_num(execute_out_write_num), .write_data(execute_out_write_data),
209 .outstall(stall_cause_memory), .outbubble(bubble_out_memory),
210 .outpc(pc_out_memory), .outinsn(insn_out_memory),
211 .out_write_reg(memory_out_write_reg), .out_write_num(memory_out_write_num),
43e4332c 212 .out_write_data(memory_out_write_data),
ab7ee9fc 213 .cp_req(cp_req), .cp_ack(cp_ack), .cp_busy(cp_busy), .cp_rnw(cp_rnw), .cp_read(cp_read), .cp_write(cp_write),
fdecc897 214 .outcpsr(memory_out_cpsr), .outspsr(memory_out_spsr), .outcpsrup(memory_out_cpsrup) /* XXX data_size */);
1d97a095
JW
215
216 Terminal terminal(
217 .clk(clk),
218 .cp_req(cp_req), .cp_insn(cp_insn), .cp_ack(cp_ack_terminal), .cp_busy(cp_busy_terminal), .cp_rnw(cp_rnw),
fd003c7a
JW
219 .cp_read(cp_read_terminal), .cp_write(cp_write)
220`ifdef verilator
221`else
222 , .sys_odata(sys_odata), .sys_tookdata(sys_tookdata), .sys_idata(sys_idata)
223`endif
224 );
ab7ee9fc
JW
225
226 Writeback writeback(
227 .clk(clk),
228 .inbubble(bubble_out_memory),
229 .write_reg(memory_out_write_reg), .write_num(memory_out_write_num), .write_data(memory_out_write_data),
fdecc897 230 .cpsr(memory_out_cpsr), .spsr(memory_out_spsr), .cpsrup(memory_out_cpsrup),
ab7ee9fc
JW
231 .regfile_write(regfile_write), .regfile_write_reg(regfile_write_reg), .regfile_write_data(regfile_write_data),
232 .outcpsr(writeback_out_cpsr), .outspsr(writeback_out_spsr),
233 .jmp(jmp_out_writeback), .jmppc(jmppc_out_writeback));
234 assign writeback_out_backflush = jmp_out_writeback;
149bcd1a 235
ff39dfc7 236 reg [31:0] clockno = 0;
90ff449a
JW
237 always @(posedge clk)
238 begin
ff39dfc7
JW
239 clockno <= clockno + 1;
240 $display("------------------------------------------------------------------------------");
5ca27949
JW
241 $display("%3d: FETCH: Bubble: %d, Instruction: %08x, PC: %08x", clockno, bubble_out_fetch, insn_out_fetch, pc_out_fetch);
242 $display("%3d: ISSUE: Stall: %d, Bubble: %d, Instruction: %08x, PC: %08x", clockno, stall_cause_issue, bubble_out_issue, insn_out_issue, pc_out_issue);
db2351c4 243 $display("%3d: DECODE: op0 %08x, op1 %08x, op2 %08x, carry %d", clockno, decode_out_op0, decode_out_op1, decode_out_op2, decode_out_carry);
3550fbf2 244 $display("%3d: EXEC: Stall: %d, Bubble: %d, Instruction: %08x, PC: %08x, Reg: %d, [%08x -> %d], Jmp: %d [%08x]", clockno, stall_cause_execute, bubble_out_execute, insn_out_execute, pc_out_execute, execute_out_write_reg, execute_out_write_data, execute_out_write_num, jmp_out_execute, jmppc_out_execute);
c65110a8 245 $display("%3d: MEMORY: Stall: %d, Bubble: %d, Instruction: %08x, PC: %08x, Reg: %d, [%08x -> %d]", clockno, stall_cause_memory, bubble_out_memory, insn_out_memory, pc_out_memory, memory_out_write_reg, memory_out_write_data, memory_out_write_num);
ab7ee9fc 246 $display("%3d: WRITEB: CPSR %08x, SPSR %08x, Reg: %d [%08x -> %d], Jmp: %d [%08x]", clockno, writeback_out_cpsr, writeback_out_spsr, regfile_write, regfile_write_data, regfile_write_reg, jmp_out_writeback, jmppc_out_writeback);
90ff449a 247 end
ee406839 248endmodule
This page took 0.066878 seconds and 4 git commands to generate.