]> Joshua Wise's Git repositories - firearm.git/blame - tests/anulib.S
DCache: Change verbosity.
[firearm.git] / tests / anulib.S
CommitLineData
4aa2768b
JW
1 .text
2 .globl _start
3_start:
00cb0b71 4 mov sp, #0x3000
4aa2768b
JW
5 bl main
61: b 1b
7
8 .globl putc
9putc:
10 mcr 5, 0, r0, c0, c0
11 mov pc, lr
This page took 0.019166 seconds and 4 git commands to generate.